Physical Design Engineer
4 days ago
Physical Design Engineer
Minneapolis, MN (onsite/hybrid)
Full-time/Direct-hire + Benefits
US Citizen or US Permanent Resident only
Job Description:
Experienced Place and Route (P&R) Engineer will be responsible for implementing complex digital designs from RTL to GDSII, with a focus on optimizing for power, performance, and area (PPA).
Essential Duties and Qualifications:
Drive physical implementation of complex ASIC designs through the entire backend flow
Develop and optimize floor plans considering power distribution, clock networks, and timing constraints
Implement sophisticated clock tree synthesis (CTS) strategies for high-performance designs
Perform timing closure activities including setup/hold fixing and optimization
Address signal integrity issues, including crosstalk and IR drop analysis
Develop and maintain P&R methodology and run scripts
Collaborate with front-end design, verification, and DFT teams
Mentor junior engineers on physical design best practices
Qualifications:
Bachelor's/Master's in Electrical Engineering/Computer Science or equivalent
5+ years of direct industry experience with Analog and Mixed-Signal Layout
Extensive experience with industry-standard P&R tools (Cadence Innovus and Synopsys ICC2)
Strong understanding of advanced technology nodes (7nm and below)
Proficiency in:
Static timing analysis and timing closure techniques
TCL/Python scripting for automation
Power analysis and optimization
Design constraint development
ECO implementation
A self-starter with the ability to assume leadership roles
Ability to work well in a diverse team environment
Experience with industry standard development tools and methodologies
Tools & Technologies:
Proficiency in EDA tools:
Cadence Innovus/Synopsys ICC2
Tempus/PrimeTime
Voltus/RedHawk
Calibre/ICV
Scripting languages:
TCL
Perl/Python
Shell scripting
#J-18808-Ljbffr
-
Physical Design Engineer
2 weeks ago
Minneapolis, Minnesota, United States Chelsea Search Group Full timePhysical Design Engineer Must be a US Citizen Full-time/Direct-hire + Benefits Minneapolis, MN (onsite/hybrid) Job Description: Experienced Place and Route (P&R) Engineer will be responsible for implementing complex digital designs from RTL to GDSII, with a focus on optimizing for power, performance, and area (PPA). Essential Duties and Qualifications:...
-
Physical Design Engineer
5 days ago
Minneapolis, Minnesota, United States Chelsea Search Group Full timePhysical Design EngineerMust be a US CitizenMinneapolis, Minnesota (onsite/hybrid)Full-Time + Health Benefits + 401K Plan with profit sharing + PTO + Stock Option PlanJob Description:Experienced Place and Route (P&R) Engineer will be responsible for implementing complex digital designs from RTL to GDSII, with a focus on optimizing for power, performance, and...
-
Senior Physical Design Engineer
4 days ago
Minneapolis, Minnesota, United States Chelsea Search Group Full timeSenior Physical Design Engineer - Place & Route Expert Minneapolis, MN (onsite/hybrid)Full-time/Direct-hire + BenefitsUS Citizen or US Permanent Resident onlyJob Description: Experienced Place and Route (P&R) Engineer will be responsible for implementing complex digital designs from RTL to GDSII, with a focus on optimizing for power, performance, and area...
-
Senior Physical Design Engineer
5 days ago
Minneapolis, Minnesota, United States Chelsea Search Group Full timeSenior Physical Design Engineer - Place & Route ExpertMust be a US CitizenMinneapolis, Minnesota (onsite/hybrid)Full-Time + Health Benefits + 401K Plan with profit sharing + PTO + Stock Option PlanJob Description:Experienced Place and Route (P&R) Engineer will be responsible for implementing complex digital designs from RTL to GDSII, with a focus on...
-
Senior Physical Design Engineer Expertise
5 days ago
Minneapolis, Minnesota, United States Chelsea Search Group Full timeAbout Us: Chelsea Search Group is a leading recruitment agency specializing in technical placements. We pride ourselves on building long-term relationships with our clients and candidates, providing exceptional service, and delivering results-driven solutions.Job Summary:We are seeking an experienced Senior Physical Design Engineer to join our team as a...
-
Minneapolis, Minnesota, United States Chelsea Search Group Full timeAbout the Role: We are seeking an experienced Senior Physical Design Engineer to join our team as a Physical Design Expert. In this role, you will be responsible for implementing complex digital designs from RTL to GDSII, optimizing power, performance, and area (PPA), and collaborating with cross-functional teams. To be successful, you should have a strong...
-
Senior ASIC Design Engineer
5 days ago
Minneapolis, Minnesota, United States Chelsea Search Group Full timeJob Description:We are seeking an experienced Physical Design Engineer to join our team at Chelsea Search Group. As a member of our design team, you will be responsible for implementing complex digital designs from RTL to GDSII, with a focus on optimizing for power, performance, and area (PPA).Essential Duties and Qualifications:Drive physical implementation...
-
Principal Design Engineer
1 day ago
Minneapolis, Minnesota, United States AEC Resources, Inc. Full timeAt AEC Resources, Inc., we value innovation and creativity. As a Senior Structural Engineer, you will have the opportunity to work on cutting-edge projects and develop new solutions to complex problems.The successful candidate will possess a strong understanding of structural engineering principles and practices, as well as excellent communication and...
-
Senior Design Engineer
2 weeks ago
Minneapolis, Minnesota, United States Enclos Full time90,000-120,000 per year Pay will be determined based on qualifications. | Bloomington, MN, USA | Salary | Design | Full TimeSummary:Enclos is looking for a Senior Design Engineer to join our team in Bloomington, MN. As a Senior Design Engineer, you'll play a pivotal role in shaping the future of curtainwall at Enclos. You'll combine your expertise in...
-
Electrical Substation Design Engineer
4 days ago
Minneapolis, Minnesota, United States Lensa Full timeJob DescriptionAECOM seeks a highly skilled Electrical Substation Engineer to join our Energy Business Line. The successful candidate will have a strong technical background in electrical power engineering and the ability to lead projects from start to finish.The ideal candidate will be responsible for supporting the electrical engineering design work on...
-
Electrical Substation Design Engineer
3 days ago
Minneapolis, Minnesota, United States Lensa Full timeAECOM is a world-renowned infrastructure consulting firm, delivering expert services throughout the project lifecycle. Our teams are driven by a common purpose to deliver a better world through our unrivaled technical and digital expertise, a culture of equity, diversity and inclusion, and a commitment to environmental, social and governance priorities.We...
-
Design Engineer
2 weeks ago
Minneapolis, Minnesota, United States Actalent Full timeJob Title: Design Engineer Job Description As a Design Engineer, you will be responsible for performing design engineering tasks related to improvement projects. You will utilize 3-D CAD modeling and other engineering tools such as FEA to optimize solutions. Additionally, you will create, check, and maintain thorough engineering specifications and drawings,...
-
Design Engineer
2 weeks ago
Minneapolis, Minnesota, United States MCE Full timeMCE-Project Designer/EngineerAbout MCE: Michaud Cooley Erickson (MCE) is a privately owned full-service engineering firm specializing in providing exceptional mechanical, electrical, lighting, fire safety, security, technological systems, commissioning, and energy management solutions for our clients nationwide. Our dedication to cutting edge building...
-
Mechanical Design Engineer
1 week ago
Minneapolis, Minnesota, United States Banner Engineering Full timeWhy Work at Banner? For over 50 years, Banner Engineering has been at the forefront of some of the biggest industry trends, such as the Industrial Internet of Things (IIoT), and our photoelectric, ultrasonic, and vision sensors, LED lights and indicators, monitoring solutions, and other safety products are used by Fortune 500 companies and industry leaders...
-
Mechanical Design Engineer
2 weeks ago
Minneapolis, Minnesota, United States Banner Engineering Full timeWhy Work at Banner? For over 50 years, Banner Engineering has been at the forefront of some of the biggest industry trends, such as the Industrial Internet of Things (IIoT), and our photoelectric, ultrasonic, and vision sensors, LED lights and indicators, monitoring solutions, and other safety products are used by Fortune 500 companies and industry leaders...
-
Senior Design Engineer
4 days ago
Minneapolis, Minnesota, United States Trane Technologies Full timeIf you are a person with a disability and need assistance applying for a job, please submit a request.What's in it for you:Be a part of our mission As a world leader in creating comfortable, sustainable, and efficient environments, it's our responsibility to put the planet first. For us at Trane Technologies, sustainability is not just how we do...
-
Senior Substation Physical Engineer
4 days ago
Minneapolis, Minnesota, United States Jacobs Full timeJacobs Senior Substation Physical Engineer Minneapolis, Minnesota Apply Now The energy industry is undergoing rapid and dramatic changes, transforming the utility landscape to enhance safety, reliability, and sustainability. In response, Jacobs is expanding its Transmission & Distribution (T&D) operations in North America and is looking for a Senior...
-
Design Engineering Lead
3 weeks ago
Minneapolis, Minnesota, United States Enclos Full timeJob SummaryAs a Senior Design Engineer at Enclos, you will be responsible for designing and developing innovative façade systems for architectural projects. Our team collaborates closely with clients and partners to deliver exceptional results that meet their unique needs and expectations.Key Responsibilities:Design and develop façade systems that meet...
-
Design Engineer
2 weeks ago
Minneapolis, Minnesota, United States Bolton & Menk, Inc. Full timeBolton & Menk has an exciting opportunity for a Design Engineer, EIT, to join our growing Active Transportation team. As a Top Workplace, this is a fantastic chance to work with a talented team of professionals and make a lasting impact on the communities we serve. With over 75 years of experience, Bolton & Menk specializes in providing community...
-
Design Engineer
2 weeks ago
Minneapolis, Minnesota, United States Bolton & Menk, Inc. Full timeBolton & Menk has an exciting opportunity for a Design Engineer, EIT, to join our growing Active Transportation team. As a Top Workplace, this is a fantastic chance to work with a talented team of professionals and make a lasting impact on the communities we serve. With over 75 years of experience, Bolton & Menk specializes in providing community...